zachjs / sv2v

SystemVerilog to Verilog conversion
BSD 3-Clause "New" or "Revised" License
497 stars 50 forks source link

fork-join with wait produce a parse error #264

Closed gggmmm closed 5 months ago

gggmmm commented 7 months ago

MRE of this code

interface my_if();
    task my_task();
        fork
            wait;
        join
    endtask
endinterface

gives test.sv:4:13: Parse error: missing expected join

using sv2v test.sv -w adjacent

Seems the wait is interfering somehow. Changing it to eg. $display produces Warning: Source includes an interface but the output is empty...

zachjs commented 6 months ago

Thanks for filing this! I think the reduced example is missing an expression for the wait statement. I have added support for wait statements in e9c01d243454a382b566e2fd1ac4baa5b38c5ca8. Please let me know if it works for you!

zachjs commented 5 months ago

Because I believe this issue is now resolved, I am closing it. Please reopen it or file a new one if you have further issues!