zachjs / sv2v

SystemVerilog to Verilog conversion
BSD 3-Clause "New" or "Revised" License
497 stars 50 forks source link

LLVM dependency #277

Closed jrrk2 closed 2 months ago

jrrk2 commented 5 months ago

The README mentions that stack has to be installed, but after installation on homebrew it complains: Installed GHC.

: error: Warning: Couldn't figure out LLVM version! Make sure you have installed LLVM between [9 and 13) llvm should be mentioned as a dependency for the sake of systems that don't know that. On homebrew OSX 14.2.1 (23C71), version 17.0.6 is installed by default, llvm@13 has to be explicitly specified. After that happy is happy
zachjs commented 5 months ago

Can you share more details (full stack trace, more system details, etc.)?

I'm running the following without issue:

zachjs commented 4 months ago

@jrrk2 I'm very interested in fixing any issues with the listed dependencies or build instructions. Can you please respond to my comment above? Thank you!

zachjs commented 2 months ago

I think this is the same as https://github.com/zachjs/sv2v/issues/280#issuecomment-2054130218. Can you see if the latest version still depends on LLVM?

zachjs commented 2 months ago

Based on your reply https://github.com/zachjs/sv2v/issues/280#issuecomment-2056429619, it sounds like the upgraded compiler indeed no longer depends on LLVM when built on Apple Silicon. I believe this issue is now resolved, so I'm closing it. Please reopen if necessary!