-
### 问题描述 Issue Description
**编译命令:**
nvidia@nvidia-desktop:/usr/local/bin/Paddle/build$
sudo -H cmake .. -DCUDA_ARCH_NAME=All -DWITH_CONTRIB=OFF -DWITH_MKL=OFF -DWITH_MKLDNN=OFF -DWITH_ TESTING=O…
-
Aim: To compute width of vpiCondition within a UHDM::uhdmcase_stmt
So far: I'm switching based on vpiCondition being part_sel, bit_sel, ref_obj, expr, operation, hier_path, etc., and computing the …
-
Hello
on
```
module dut #(parameter SIZE) (
input wire [SIZE-1:0] wire_i
);
endmodule
```
the UHDM tree for the left part of range `[SIZE-1:0]` produce:
```
|vpiLeftRange:
…
-
There are several errors or test failures in the regression tests related to Verilator lacking features:
- Force/Release
- Multi-dimensional arrays
- VPI access to struct members
- iteration disco…
-
Hi!
I've tried to build the [systemverilog plugin](https://github.com/chipsalliance/yosys-f4pga-plugins/tree/main/systemverilog-plugin) for yosys on Windows using [msys2/mingw64](https://www.msys2.…
-
I'm experimenting with the VPI API and was wondering do you plan to support it "natively" without the need to go through the `jetson.utils.cudaToNumpy()` hoops?
It looks like the [`vpi.asimage(...…
-
Sometimes the generated scope will output __BRA__0__KET__, making some things that were previously vpi accessible obscured by these names that should be internal to Verilator. These should be t.arr[0]…
-
Here is the sample example code from documentation:
```
module our (input clk);
reg readme /*verilator public_flat_rd*/;
initial $finish;
endmodule
```
If I use [standard attributes](https:…
-
Asked on stackoverflow.
Is there a way to specify options to VCS when using the PeekPokeTester? In particular, I would like to: 1) Enable System Verilog for black box code 2) Control the macro defi…
-
I built LEDE trunk for my HH2B last night, with full Luci. I copied switch configuration [from here](http://openwrt.ebilan.co.uk/viewtopic.php?f=4&t=49), and then see a Switch menu entry under Network…