CesiumGS / cesium-native

Apache License 2.0
415 stars 210 forks source link

Silence MSVC deprecation warning (wd4996) #762

Closed TheMostDiligent closed 10 months ago

TheMostDiligent commented 10 months ago

Fix #761

kring commented 10 months ago

Thanks for the PR @TheMostDiligent. Disabling WD4996 would disable all deprecation warnings, though, which is not good. Better to just disable these specific warnings by defining _SILENCE_STDEXT_ARR_ITERS_DEPRECATION_WARNING. You seem to have disallowed me to edit the code in this PR, so I'll close it and open a new one instead.

TheMostDiligent commented 10 months ago

@kring Sounds good - thanks!