TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
GNU General Public License v3.0
543 stars 45 forks source link

support current task, fix bug in sta #532

Closed qarlosalberto closed 9 months ago