TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
GNU General Public License v3.0
543 stars 45 forks source link

Version 6.0.0 shows that the project instantiation structure hierarchy function is invalid. #534

Closed xpww closed 9 months ago

xpww commented 9 months ago

Describe the bug Version 6.0.0 shows that the project instantiation structure hierarchy function is invalid,Currently, only one instantiated module is displayed, and the many instantiated modules inside it cannot be detected.

To Reproduce

Code Code to reproduce the error.

Please complete the following information:

Screenshots v6.0.0: image v5.0.12: image

Additional context Add any other context about the problem here.

qarlosalberto commented 9 months ago

fixed: https://github.com/TerosTechnology/vscode-terosHDL/releases/tag/v6.0.0alpha