TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

GHDL fails when testbench is inside a library (not work) #554

Open qarlosalberto opened 6 months ago