TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
GNU General Public License v3.0
543 stars 45 forks source link

Unable to rename elements #563

Closed RyzenFromFire closed 1 month ago

RyzenFromFire commented 8 months ago

Describe the bug When in a file opened through TerosHDL's source pane, I cannot rename elements (for instance, entity, architecture, or signal names in VHDL). This does not appear when opening the file normally through VSCode. However, the behavior seems to persist after a file is opened in TerosHDL.

To Reproduce Write any VHDL code with named areas. Example below. Press F2, or right-click and Rename Symbol. A message appears stating "The element can't be renamed."

Code

-- example of code for which various elements cannot be renamed in TerosHDL.
-- the issue is not dependent on the code used.
entity example is
  port (
    input  : in    std_logic_vector(7 downto 0);
    output : out   std_logic
  );
end example;

architecture behavioral of example is

  signal sig : std_logic_vector(7 downto 0);

begin

  sig <= nor input;
  output <= or sig;

end architecture behavioral;

Please complete the following information:

Screenshots Example of renaming before opening with TerosHDL: image

Example of file after opening with TerosHDL: image

qarlosalberto commented 1 month ago

It's fixed. Reopen the issue if you have any problem.