Bug description
When I specify the direction of the virtual bus using the keyword @dir, the documenter does not recognise it and puts it as a description of the bus.
To Reproduce
entity descrambler is
port (
clk_i : in std_logic; --! clock
reset_i : in std_logic; --! active high
--! @virtualbus Avalon-ST sink @dir in
snk_data_i : in std_logic_vector(g_DATA_WIDTH - 1 downto 0); --! data input
snk_valid_i : in std_logic; --! input valid signal
snk_ready_o : out std_logic; --! ready backpressure to in signal
--! @end
--! @virtualbus Avalon-ST source @dir out
src_valid_o : out std_logic; --! valid output signal
src_ready_i : in std_logic; --! ready backpressure signal
src_data_o : out std_logic_vector(g_DATA_WIDTH - 1 downto 0) --! data output
--! @end
);
end entity descrambler;
Bug description When I specify the direction of the virtual bus using the keyword
@dir
, the documenter does not recognise it and puts it as a description of the bus.To Reproduce
Please complete the following information:
Screenshots![image](https://github.com/TerosTechnology/vscode-terosHDL/assets/10298494/e2e8e0de-d308-4dc1-a19d-685c4e8b404b)