Describe the bug
When adding comments to describe a file, if there is a package the documentation is not generating like entities do. For the file shown below, no description is generated, and the @keywords are not used like they are in a file containing an entity.
To ReproduceCode
{
--! @title sample package
--! @author James Ziegler
--! @date 4/1/2024
--! @brief Sample package to demonstrate issues
--!
--! This is just a sample
library ieee;
use ieee.std_logic_1164.all;
package sample_package is
}
--! Sample record type
type sample_record is record
single_bit : std_logic; --! Can this be used for a description of the element?
byte_data : std_logic_vector (7 downto 0); --! this element is a single byte of data
end record sample_record;
end package sample_package;
Please complete the following information:
OS: Windows 10
VSCode version version 1.87.2
TerosHDL version 5.0.12
Screenshots
Additional context
Some other packages will generate a partial description, but the @keywords are not recognized and are displayed as part of the description.
Describe the bug When adding comments to describe a file, if there is a package the documentation is not generating like entities do. For the file shown below, no description is generated, and the @keywords are not used like they are in a file containing an entity.
To Reproduce Code
--! Sample record type type sample_record is record single_bit : std_logic; --! Can this be used for a description of the element? byte_data : std_logic_vector (7 downto 0); --! this element is a single byte of data end record sample_record;
end package sample_package;
Please complete the following information:
Screenshots
Additional context Some other packages will generate a partial description, but the @keywords are not recognized and are displayed as part of the description.