TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

VHDL package documentation not being generated like entities #595

Open james-ziegler opened 3 months ago

james-ziegler commented 3 months ago

Describe the bug When adding comments to describe a file, if there is a package the documentation is not generating like entities do. For the file shown below, no description is generated, and the @keywords are not used like they are in a file containing an entity.

To Reproduce Code

{
--! @title sample package
--! @author James Ziegler
--! @date 4/1/2024
--! @brief Sample package to demonstrate issues
--!
--! This is just a sample

library ieee;
  use ieee.std_logic_1164.all;
 package sample_package is
}

--! Sample record type type sample_record is record single_bit : std_logic; --! Can this be used for a description of the element? byte_data : std_logic_vector (7 downto 0); --! this element is a single byte of data end record sample_record;

end package sample_package;

Please complete the following information:

Screenshots

package

Additional context Some other packages will generate a partial description, but the @keywords are not recognized and are displayed as part of the description.