TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
GNU General Public License v3.0
542 stars 45 forks source link

Documentation: type record #600

Closed qarlosalberto closed 5 months ago

qarlosalberto commented 5 months ago

Could you update the documentation? You only need to modify this doc: https://github.com/TerosTechnology/terosHDLdoc/blob/main/docs/08-documenter/03-vhdl_elements.mdx

@gmartina

gmartina commented 5 months ago

Could you update the documentation? You only need to modify this doc: https://github.com/TerosTechnology/terosHDLdoc/blob/main/docs/08-documenter/03-vhdl_elements.mdx

@gmartina

https://github.com/TerosTechnology/terosHDLdoc/pull/25

qarlosalberto commented 5 months ago

thanks for that!