TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

fix licence comment symbol bug #606

Closed gmartina closed 2 months ago

gmartina commented 2 months ago

Bugfix: #465 Fix licence comment symbol bug when generating template for other language different than the source file.

qarlosalberto commented 2 months ago

thanks!