TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

Schematic ERROR: Can't load module `./ghdl' #610

Closed lithegreat closed 2 months ago

lithegreat commented 2 months ago

Describe the bug

[info] ERROR: Can't load module `./ghdl': "C:\msys64\mingw64\bin\..\share\yosys\plugins\ghdl.so": �Ҳ���ָ����ģ�顣

I have intalled yosys and ghdl via mingw-w64-x86_64-eda as recommended, and added them to the PATH.

Please complete the following information:

Screenshots image

lithegreat commented 2 months ago

I solved it. You need to choose Yosys + GHDL instead of GHDL(module) + Yosys at Configuration.

qarlosalberto commented 2 months ago

great! I will delete the bad option

El jue., 25 abr. 2024 20:28, Hengsheng @.***> escribió:

I solved it. You need to choose Yosys + GHDL instead of GHDL(module) + Yosys at Configuration.

— Reply to this email directly, view it on GitHub https://github.com/TerosTechnology/vscode-terosHDL/issues/610#issuecomment-2077906935, or unsubscribe https://github.com/notifications/unsubscribe-auth/ABNN4R4HUTKKBYXLQPUPVSLY7FDNDAVCNFSM6AAAAABGZLOAJSVHI2DSMVQWIX3LMV43OSLTON2WKQ3PNVWWK3TUHMZDANZXHEYDMOJTGU . You are receiving this because you are subscribed to this thread.Message ID: @.***>