TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

Go to definition doesn’t work #611

Open NickTxD opened 1 month ago

NickTxD commented 1 month ago

Describe the bug ‘Go to definition’ func doesn’t work at any projects. There is ‘No definition found’ error appears.

Please the following information:

P.S. The same function in similar plugin works well