TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

Error finding raptor #612

Closed MarkoMk closed 1 month ago

MarkoMk commented 1 month ago

I am trying to run a project and even though I se everyghing up like in the tutorials on the webpage I am getting the following error: /Raptor/build/bin/raptor: not found

Is it possible that TerosHDL only works with raptor version 2023.04 and I curently have version 2024.05?

qarlosalberto commented 1 month ago

Can you share the complete log?

MarkoMk commented 1 month ago

image

qarlosalberto commented 1 month ago

Are you sure that the binary is there? Are the permissions correct? Is it an executable?

MarkoMk commented 1 month ago

If we are talking about the raptor shell script, it is there and it has all the correct permissios. It' also executable.

qarlosalberto commented 1 month ago

Can you type "/Raptor/build/share/raptor --version" in the terminal and to share a screenshot?

MarkoMk commented 1 month ago

It's the same whether it is in share or bin folder, same version, doesn't work on both... image

qarlosalberto commented 1 month ago

Please double check your configuration in TerosHDL. I think that the binary path it's not correct. And check that your are using the complete path

MarkoMk commented 1 month ago

I think writing the bin path like this: ~/Raptor/build/bin fixed the issue with being unable to find the raptor shell script. Not getting that error anymore.

qarlosalberto commented 1 month ago

Great! I close the issue.