TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

SystemVerilog package import support #626

Open gtaylormb opened 1 week ago

gtaylormb commented 1 week ago

The ability to import packages is an important SystemVerilog feature. I use packages in all my designs. The import syntax seems to be understood but it is reported that it can't find the package, but it's in my project. Subsequently, any parameters/functions/symbols defined in my packages show up as errors (undefined variables). Can you please support this feature?