TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

The autocomplete suggestion does not work for unsaved files. #630

Open narutozxp opened 4 days ago

narutozxp commented 4 days ago

Describe the bug If you add a port or signal and don't save the file, you don't get the autocomplete suggestion. Is there any way to solve such a bug?

Please complete the following information: