TerosTechnology / vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
https://terostechnology.github.io/terosHDLdoc/
524 stars 42 forks source link

Wrong port direction in Module documentation when `ifdef #631

Open niciki-niciki opened 3 days ago

niciki-niciki commented 3 days ago

Describe the bug When `ifdef is in port list, Module documentation has empty direction field for the next signal and that signal is not showing in the diagram.

Code

module test_module (
  input wire port_name_01,
  `ifdef M_01
  output wire port_name_02,
  inout wire port_name_03,
  `endif
  `ifdef M_02
  input wire port_name_04,
  output wire port_name_05,
  inout wire port_name_06,
  `endif
  input wire port_name_07
);

endmodule

Please note that the issue affects only ports right after `ifdef: port_name_02 and port_name_04.

Best regards, Daniel