Closed ArnePret closed 2 months ago
I don't understand your problem. What is the error? OSVVM is not included? You need to add it to the project.
I am sorry, I have phrased this badly. First of all: These are two different problems (I should have probably created two issues for that). I put them into one Issue, because they seem related to me. Second: The code from your screenshot looks like this for me (on v6.0.3) but only if i have not opened MODULE_pkg yet. (Every time I open VSCode) (This was automatically detected in v6.0.1) Third: I have also added the osvvm library (the .vhd files, because the compiled .lib didn't work for me in either version).
The Module_pkg error is solved by opening the MODULE_pkg.vhd (but this has to be done every time VSCode is opend). The osvvm error I was unable to solve in v6.0.3. This worked both without issue in v6.0.1.
Can you try with this release? https://github.com/TerosTechnology/vscode-terosHDL/releases/tag/latest
Everything works with this version. VSCode reports both as v6.0.3, but the one installed via VSCode produces the errors. Thanks for the quick help.
I am sorry I have to reopen this Issue. I am no longer sure the version you sent me worked. My VSCode instance was misbehaving a bit (very laggy and long loading times), maybe I was on v6.0.1 still, when it showed me I was on the v6.0.3 you sent me. Today I checked and I was on v6.0.1, after upgrading to v6.0.4 I get the same error as previously described.
Can you share me a screenshot with your project and files view? Similar to my previous screenshot
I updated to Teros v6.0.4 and restarted VSCode. I updated the code, closed everything and restarted VSCode. And everything works now. I have no Idea why it didn't work before (maybe VSCode needs 3 restarts to load everything properly?) I am sorry to have wasted your time with this again. Also in my real project everything is detected correctly. Keep up the great work
Describe the bug The following two things worked flawlessly for me in v6.0.1 and have buggy behavior in v6.0.3.:
To Reproduce
package MODULE_pkg is
constant CONST : natural := 1;
component MODULE is port ( INPUT : in std_logic; OUTPUT : out std_logic ); end component MODULE;
end package MODULE_pkg;
///// File for entity "MODULE.vhd": library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.MODULE_pkg.all;
entity MODULE is port ( INPUT : in std_logic; OUTPUT : out std_logic ); end entity MODULE;
architecture arch of MODULE is
begin
OUTPUT <= INPUT;
end architecture arch;
Please complete the following information: