UCLA-VAST / tapa

TAPA is a dataflow HLS framework that features fast compilation, expressive programming model and generates high-frequency FPGA accelerators.
https://tapa.rtfd.io
MIT License
144 stars 27 forks source link

TAPA fails to compile source with istream::open or istream::try_open #125

Closed vkomenda closed 1 year ago

vkomenda commented 1 year ago

istream::open and istream::try_open contain calls to assert which causes tapac emit this error:

ERROR: [HLS 207-3776] use of undeclared identifier 'assert' (/usr/include/tapa/xilinx/hls/stream.h:128:5)

Suggested solution

Include in src/tapa/xilinx/hls/stream.h:

#include <cassert>
Blaok commented 1 year ago

Fixed in a40cc0912edb56998439f365d65b829db8f50ea8