YosysHQ / nextpnr

nextpnr portable FPGA place and route tool
ISC License
1.24k stars 237 forks source link

placer1: Fix various bitrot #1257

Closed gatecat closed 6 months ago

gatecat commented 6 months ago

Various APIs have changed over time and the updates to placer1 along the way weren't reflecting semantic changes in how validity checking works, meaning that sometimes either initial placement or constraint legalisation would get stuck in an endless or near-endless loop.

Ravenslofty commented 6 months ago

So, I tried this patch, and noticed that it consistently gives timing cost = 0 in the GUI, which means the resulting placements perform very badly. This does not seem to happen when used in CLI mode.

gatecat commented 6 months ago

This is also the case without this patch (insofar as SA actually works without it), though, right?

I suspect this is a totally different issue, possibly relating to the ability to set Fmax in the GUI going missing with various timing reforms.