YosysHQ / nextpnr

nextpnr portable FPGA place and route tool
ISC License
1.29k stars 242 forks source link

Clock prerouting Gowin fix? #1327

Open adrianparvino opened 4 months ago

adrianparvino commented 4 months ago

I'm encountering a problem with router2 and the global router conflicting with router2: https://gist.github.com/adrianparvino/0dbe37f9199616af909d9f686c3ae69a I think it's trying to connect the PLL output to the global wire twice.