YosysHQ / nextpnr

nextpnr portable FPGA place and route tool
ISC License
1.29k stars 242 forks source link

PIN "U3" unavailabel in ECP5's CABGA381 package #1329

Closed fedy0 closed 4 months ago

fedy0 commented 4 months ago

Hi

I ran into the following error (please see image attached) that the pin "U3" is not available in ECP5's CABGA381 package. But this is wrong, as I have checked the datasheets and pin U3 is available.

I specified this in the constraints file .lpf

LOCATE COMP "flash_clk" SITE "U3";
IOBUF  PORT "flash_clk" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4;

To reproduce the error please clone/run the follwoing

git clone --recursive https://github.com/fedy0/neo.git && cd ./neo && make -f Makefile.xip flash

Any prompt help would be greatly appreciated. image

gatecat commented 4 months ago

You need to use the USRMCLK primitive to access this pin (same as in Diamond), it can't be used the same way as regular IO.