YosysHQ / nextpnr

nextpnr portable FPGA place and route tool
ISC License
1.24k stars 236 forks source link

Could not use nextpnr-gowin with --family GW2A-18C #1330

Open janeoa opened 1 week ago

janeoa commented 1 week ago

I am using m1 mac with macos and trying to run

nextpnr-gowin --json uart.json --write uart_pnr.json --freq 27 --device GW2A-LV18PG256C8/I7 --family GW2A-18C --cst tangprimer20k.cst 

I have build the nextpnr from this git using

cmake -DARCH=gowin -DBUILD_GUI=OFF -DARCH="himbaechel" -DHIMBAECHEL_GOWIN_DEVICES="all" -DPYTHON_EXECUTABLE=~/dev/oss-cad-suite/py3bin/python3 .

make -j$(nproc)

sudo make install

and now I have following files

ls /usr/local/share/nextpnr/himbaechel/gowin/
chipdb-GW1N-1.bin   chipdb-GW1N-4.bin   chipdb-GW1N-9.bin   chipdb-GW1N-9C.bin  chipdb-GW1NS-4.bin  chipdb-GW1NZ-1.bin  chipdb-GW2A-18.bin  chipdb-GW2A-18C.bin

But when I envoke nextpnr-gowin for GW2A-18C, it fails

nextpnr-gowin --json uart.json --write uart_pnr.json --freq 27 --device GW2A-LV18PG256C8/I7 --family GW2A-18C --cst tangprimer20k.cst
ERROR: Failed to load chipdb 'gowin/chipdb-GW2A-18C.bin'
0 warnings, 1 error

what am I missing?

yrabbit commented 1 week ago
#Tangnano20k
nextpnr-himbaechel  --json  uart.json --write  uart_pnr.json --device GW2AR-LV18QN88C8/I7 --vopt family=GW2A-18C --vopt cst=tangnano20k. cst

#Tangprimer20k
nextpnr-himbaechel  --json  uart.json --write  uart_pnr.json --device GW2A-LV18PG256C8/I7 --vopt family=GW2A-18 --vopt cst=primer20k.cst

You can see compilation examples for all supported boards in the Makefile:

https://github.com/YosysHQ/apicula/blob/master/examples/himbaechel/Makefile.himbaechel

yrabbit commented 6 days ago

If everything worked out for you, then close this issue, please. :smile: