YosysHQ / nextpnr

nextpnr portable FPGA place and route tool
ISC License
1.24k stars 236 forks source link

Gowin. Fix BSRAM block selection. #1334

Open yrabbit opened 3 days ago

yrabbit commented 3 days ago

In the images generated by Gowin IDE, the signals for dynamic BSRAM block selection (BLKSEL[2:0]) are not always connected directly to the ports - some chips add LUT2, LUT3 or LUT4 to turn these signals into Clock Enable. Apparently there are chips with an error in the operation of these ports.

Here we make such a decoder instead of using ports directly.