issues
search
ghdl
/
ghdl
VHDL 2008/93/87 simulator
GNU General Public License v2.0
2.27k
stars
351
forks
source link
issues
Newest
Newest
Most commented
Recently updated
Oldest
Least commented
Least recently updated
Subtype from unconstrained record
#2677
cameronweston
opened
22 hours ago
3
External name in wait on statement is not accepted
#2676
yikkrubz
closed
3 days ago
0
Attribute of component (e.g. keep) not kept in the synthesis netlist
#2675
donnie-j
opened
5 days ago
1
Display the list of all drivers of a signal in case an unresolved signal has multiple drivers.
#2674
cderrien
opened
6 days ago
1
no clock for PSL `prev` builtin
#2673
NikLeberg
closed
22 hours ago
1
PSL synthesized checker has "constant loopback", which makes symbiyosys k-induction fail
#2672
Topi-ab
closed
1 day ago
6
build error: netlists-iterators.ads:25:06: aspect identifier expected
#2671
dsula
closed
1 week ago
2
Crash during analyzing a VHDL module which uses a package which has a recursive function
#2670
matthiasschweikart
closed
1 week ago
0
formal: bug when assert with `async_abort`
#2669
NikLeberg
closed
2 weeks ago
5
formal: requires initial value
#2668
NikLeberg
closed
3 weeks ago
2
Synthesis (for formal) misbehaves
#2667
Topi-ab
closed
2 weeks ago
2
mcode backend crash when using signal slice inside constant array initialization
#2666
Jogt
closed
3 weeks ago
1
Synthesis when using fixed_pkg produces `asserts`
#2665
rapgenic
closed
3 weeks ago
3
What happened to nightly's Linux versions?
#2664
stnolting
closed
4 weeks ago
5
With clang-17 tests fail: vpi1.c:26:13: error: incompatible function pointer types assigning to ...
#2663
yurivict
closed
1 month ago
0
Help with "error: use of synopsys package "std_logic_arith" needs the -fsynopsys option"
#2662
ghelbig
closed
1 month ago
2
pyGHDL dom/libGHDL array port item constraints might not be fully populated
#2661
Tcenova
opened
1 month ago
4
ieee.ceil() is not locally static
#2660
Galactic-Explorer
opened
1 month ago
7
pyGHDL installation broken with latest pytooling (6.1.0)
#2659
augustofg
opened
1 month ago
2
GHDL crash when dynamic slicing is used.
#2658
consanii
closed
1 month ago
4
Incorrect "error: NULL access dereferenced" during type conversion
#2657
hcommin
closed
1 month ago
1
.all in access type variable assignment reveals a bug
#2656
Dotto92
closed
1 month ago
5
BUG
#2655
Alaa-Elshahawy
closed
1 month ago
1
Unhandled calls to IEEE functions
#2654
LarsAsplund
closed
1 month ago
0
GHDL 0.29.1 binary for windows overwrites path entirely
#2653
Kanken6174
closed
1 month ago
1
Constant definitions in pure functions using attributes of signals generates unexpected warnings
#2652
Araneidae
closed
1 month ago
21
Generic parameters treated strictly when -frelaxed selected
#2651
Araneidae
opened
2 months ago
4
Type of element is ambiguous in a nested array or record position asignment with concatenation
#2650
EmilioPeJu
closed
1 month ago
18
4.1.0: undefined reference to `llvm::EngineBuilder::EngineBuilder ...)`
#2649
yurivict
closed
1 month ago
7
Partial merge of #762: "VPI: Adding vpiPort detection (Corrects regression introduced by #753)".
#2648
gatk555
opened
2 months ago
0
Non-synthesizable code leads to latches
#2647
tristanitschner
closed
2 months ago
4
Overflow in exponentiation
#2646
erick166
closed
2 months ago
5
std.textio.read assertion failure for boolean values
#2645
erick166
closed
2 months ago
2
Crash when running UVVM Axistream VVC
#2644
erick166
opened
2 months ago
5
Wiki Contibuting Link Dead
#2643
sirnails
closed
2 months ago
1
4.0.0: issue880 and ticket24 tests fail on amd64 with the mcode backend
#2642
doko42
closed
2 months ago
6
4.0.0: issue880 and ticket24 tests fail on ppc64el with the llvm backend
#2641
doko42
closed
2 months ago
2
ghdl with mcode backend crashes on if generate when .ghw output is enabled
#2640
Jogt
opened
2 months ago
5
Build fails on the arm64 architecture: error: "s-expllli.ali" not found, "s-expllli.ads" must be compiled
#2639
yurivict
closed
1 month ago
1
[Bug] GHDL fails to analyze the `mti_util.vhd` ModelSim library file
#2638
pidgeon777
closed
2 months ago
7
[Bug] GHDL fails to analyze the `recording.vhd` ModelSim library file
#2637
pidgeon777
closed
2 months ago
1
[Bug] GHDL fails to analyze the `transactions.vhd` ModelSim library file
#2636
pidgeon777
closed
2 months ago
2
VPI Unconstrained Array Bug
#2635
gbowers4
opened
2 months ago
2
'last_value is raising CONSTRAIN_ERROR
#2634
MartinNav
closed
2 months ago
1
[Bug] - pyGHDL: error when importing packages
#2633
pidgeon777
opened
3 months ago
1
GHDL Returns Integers/naturals as 32 bit binaryValue
#2632
gbowers4
closed
3 months ago
1
ghdl raises ASSERTION_ERROR when 'high used on array as slice bound in complex actual expression
#2631
chtisgit
closed
3 months ago
0
[BUG] Cannot use external names with constants
#2630
Jonas4242
closed
3 months ago
7
ghdl 4.0.0 fails to build on powerpc64le-linux-gnu
#2629
doko42
closed
3 months ago
3
Integer value passing between entities isn't range checked.
#2628
DaniloDjokicTannera
closed
3 months ago
1
Next