graphman65 / linter-vhdl

Atom vhdl linter
https://atom.io/packages/linter-vhdl
MIT License
6 stars 2 forks source link

Linter warning: cannot find library "std_logic_unsigned" #2

Closed ZirconfleX closed 6 years ago

ZirconfleX commented 6 years ago

Hi,

I'm using linter-vhdl for a couple of weeks now, only now got the time to ask about this linter warning. Using it on Ubuntu 16.04, installed the latest version of GHDL and I'm using teh latest version of everything around Atom. When opening a VHDL file the linter warns about "std_logic_unsigned" and about "unisims". The warning about the latter is possible because its a proprietary license and I guess needs to be compiled for GHDL before it will be recognised. The first library is a standard VHDL library, why the warning? Does it first need to be compiled? GHDL states it supports full VHDL up to 2008 thus I suspect that all standard libraries are supported to. Can anybody provide and answer/comment?

Thanks,

Marc

graphman65 commented 6 years ago

Do you get this warning when running ghdl -a yourfile.vhdl too ? Then it might be related to ghdl itself, not to the atom extension.

ZirconfleX commented 6 years ago

Hi,

Yes get the error to. Learned by searching the github site of GHDL that it is normal. std_logic_unsigned is not part of IEEE, it is a Synopsys library and in order to include non IEEE libraries in GHDL one must do that using the --ieee= command.

I'm going to experiment with that, but think that an option in the setup could be a box to add used libraries.

graphman65 commented 6 years ago

You can now pass custom arguments per file to the ghdl executable. https://github.com/graphman65/linter-vhdl#custom-ghdl-arguments

ZirconfleX commented 6 years ago

The package updated in Atom, but now I get when opening or saving a VHDL file the error as in the attached figure. linter-vhdl_28nov17 Opening the developer console as the error suggest shows what "screengrabbed" in this figure: linter-vhdl_developerconsole_28nov17 Each time the error pops up a number of lines are add. The linter doesn't lint anything anymore.

graphman65 commented 6 years ago

Hi, thanks for the feedback. Should be good with the 1.1.2 release. Let me know if this is working well on your side too.

ZirconfleX commented 6 years ago

Hallo, Works again flawless, thanks for the quick reaction.

The Linter-VHDL Atom-GitHub site reads: "You can provide custom arguments to the vhdl executable by adding a comment at the top of the file" What file is meant by this sentence? package.json or other?

graphman65 commented 6 years ago

It's the vhdl file itself. So that you can provide different arguments for each files/projects.