graphman65 / linter-vhdl

Atom vhdl linter
https://atom.io/packages/linter-vhdl
MIT License
6 stars 2 forks source link
atom linter plugin vhdl

VHDL linter

Small atom linter for the VHDL language.

Requirements

Install

You can install through the CLI by doing:

$ apm install linter-vhdl

Or you can install from Settings view by searching for vhdl.

Custom ghdl arguments

You can provide custom arguments to the vhdl executable by adding a comment at the top of the file

-- args: [arguments]

Exemple

-- args: --ieee=synopsys

Config