graphman65 / linter-vhdl

Atom vhdl linter
https://atom.io/packages/linter-vhdl
MIT License
6 stars 2 forks source link

Error in --args: --ieee=synopsys #4

Closed qarlosalberto closed 6 years ago

qarlosalberto commented 6 years ago

Hello,

I have a problem with GHDL args. I have a comment in top file:

But I have the error: ieee not found.

qarlosalberto commented 6 years ago

It was the error. It must be:

-- args: --ieee<=synopsys
graphman65 commented 6 years ago

The comment must be: -- args: --ieee=synopsys

qarlosalberto commented 6 years ago

In GHDL 0.35-dev it is: -- args: --ieee<=synopsys

http://ghdl.readthedocs.io/en/latest/using/InvokingGHDL.html

Thank you!

qarlosalberto commented 6 years ago

@graphman65 how can I only syntaxt? No elaborate.

graphman65 commented 6 years ago

Hum ok so it's working ! By default it only check the syntax.

qarlosalberto commented 6 years ago

Mmmm... I have erros with my own libraries:

work.my_types_pkg.all

Because ghdl doesnt find the librarie.

graphman65 commented 6 years ago

I don't know how ghdl handle this kind of things. I guess you can try to add the --workdir=pathtoyourlibrary or --Ppathtolibrary to the comment. http://ghdl.free.fr/ghdl/GHDL-options.html

graphman65 commented 6 years ago

I close this issue as it seems that it's working for you.

qarlosalberto commented 6 years ago

Thank you!!!!! :)