graphman65 / linter-vhdl

Atom vhdl linter
https://atom.io/packages/linter-vhdl
MIT License
6 stars 2 forks source link

-- args: how to use it, need somewhat more explication than in readme. #6

Closed ZirconfleX closed 6 years ago

ZirconfleX commented 6 years ago

Hi,

I’m using in VHDL the “std_logic_unsigned” library and without the “-- args: --ieee=synopsys” argument, the linter complains that it cannot fine the library in “ieee”. Whn including "– args: --ieee=synopsys…" in the first lines of the VHDl file VHDL-Linter no longer complains. The above works fine, but the linter complains also about the fact it cannot find other libraries, link:

What is the syntax for the arguments, args:, in above cases so that linter no longer complains?

I tried to use the GHDL syntax but that did not work or maybe I used it wrongly. This what I tried (and what did not work): -- args: --work=unisim /path_to_unisim_libraries -- args: --work= /path_to_folder_of component. Many thanks in advance for any help/hints.

kind regards,

Marc

graphman65 commented 6 years ago

Hi, Sadly I'm far from being a ghdl expert :p I guess you can try to use -P /path_to_unisim_lib and -P /path_to_components in the args comment. Let me know if it worked !