graphman65 / linter-vhdl

Atom vhdl linter
https://atom.io/packages/linter-vhdl
MIT License
6 stars 2 forks source link

VHDL-2008 block comment #9

Closed qarlosalberto closed 5 years ago

qarlosalberto commented 6 years ago

Hello,

VHDL-2008 has entered the block comments:

/*
block comment
*/

Could be added in linter-vhdl?

Thank you.

graphman65 commented 6 years ago

Hello, What do you want to be added ? I mean what is happening today when you try to use comment using linter-vhdl ? Does it crash ?

Thanks

lcapossio commented 5 years ago

If you set --args: --std=08 in the top of your file, GHDL will compile VHDL2008 standard and will recognize the use of block comment. That is a GHDL feature (make sure you have the one that supports it), not of the linter.