leafvmaple / vscode-verilog

MIT License
4 stars 5 forks source link

Verilog Language README

The Visual Studio Code extenstion for Verilog HDL Language support.

Installation

Install it from VS Code Marketplace

Run Code

Commands

Release Notes

Version 0.0.6

Version 0.0.5

Version 0.0.4

Version 0.0.3

Version 0.0.2

Version 0.0.1