myriadrf / LimeSDR-USB_GW

Altera Cyclone IV FPGA project for the USB 3.0 LimeSDR board
Apache License 2.0
97 stars 64 forks source link

LimeSDR-USB FPGA gateware

This repository contains the FPGA gateware project for the USB 3.0 LimeSDR board.

The gateware can be built with the free version of the Altera Quartus tools.

Branches

This repository contains the following hardware-specific branches:

Licensing

Please see the COPYING file(s). However, please note that the license terms stated do not extend to any files provided with the Altera design tools and see the relevant files for the associated terms and conditions.