openXC7 / xc7k325t-blinky-nextpnr

BSD 3-Clause "New" or "Revised" License
42 stars 9 forks source link

Full Open Source Blinky on XC7K325T using yosys+nextpnr-xilinx

NOTE: This repository is now somewhat obsolete:

Since the creation of the toolchain installer, the Makefile used here will not work probably with the snap-packaged toolchain, because the Makefile here builds and installs its own toolchain instead in different directories.

For examples of Makefiles using the packaged toolchain, see demo-projects

Blinky on QMTech XC7K325T Core Board (xc7k325tffg676-1)

qmtech_blinky

Blinky on Digilent Genesys2 (xc7k325tffg900-2)

genesys2_blinky

Blinky on the AliExpress STLV7325 board

stlv7325_blinky

Status

How to reproduce

  1. Install required software
    • sudo apt install libftdi1-dev libudev-dev git cmake build-essential tclsh clang tcl-dev libreadline-dev flex bison python3-dev libboost-all-dev libqt5-base-dev-tools libeigen3-dev python3 python3-pip python3-yaml pypy3 pkg-config libqt5opengl5-dev
    • clone/build/install yosys from https://github.com/YosysHQ/yosys or download a release from https://github.com/YosysHQ/oss-cad-suite-build/releases note: test have been performed with Yosys 0.13+28 (git sha1 bf85dfee5, gcc 10.2.1-6 -fPIC -Os)
  2. git clone --recurse-submodules https://github.com/kintex-chatter/xc7k325t-blinky-nextpnr.git
  3. cd xc7k325t-blinky-nextpnr
  4. make BOARD=qmtech setup
  5. make BOARD=qmtech all

Note: Every time you change the installation of nextpnr-xilinx you will have to regenerate the chipdb, because the chipdb does not seem to be compatible between different binaries of nextpnr-xilinx