orangecrab-fpga / orangecrab-hardware

ECP5 breakout board in a feather physical format
Other
477 stars 55 forks source link

OrangeCrab

OrangeCrab Web site

ECP5 FPGA Feather development board.

Front Photo

Latest Revision:

Previous Revisions:


What is it?

There aren't many OSHW ECP5 boards available. Given that this FPGA is fully supported by an open source toolchain I decided to create one. In order to make use of the DDR3L support the ECP5 has, I've added some DDR3L memory. The board matches the feather format

Hardware Overview

Back Photo

Example code

Example code for Verilog, Litex, And RiscV can be found here:

Community

We have an OrangeCrab group on the 1BitSquared Discord

Licence