rameloni / tywaves-chisel-demo

A repository that implements Tywaves: enabling a type-based waveform debugging for Chisel and Tydi-Chisel. Mapping from Chisel level code to values dumped by simulators is now possible thanks to Tywaves!
Apache License 2.0
16 stars 0 forks source link

Use meaningful vcd file names #23

Closed rameloni closed 2 weeks ago

rameloni commented 1 month ago
rameloni commented 2 weeks ago

Completed by https://github.com/rameloni/tywaves-rs/pull/22