rggen / rggen-sample-testbench

https://github.com/rggen/rggen
MIT License
14 stars 2 forks source link

UVM file error #8

Closed jamesningd closed 1 year ago

jamesningd commented 1 year ago

Hi, After fix some error, I still have this error when I run make in direction rggen-sample-testbench/sim/apb/verilog :

This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.

Parsing design file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.

Error-[SE] Syntax error Following verilog source has syntax error : "/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv", 32: token is ';' package uvm_pkg; ^

1 error CPU time: .161 seconds to compile make[2]: [/home/jamesning/work/reggen/rggen-sample-testbench/sim/vcs.mk:74: compile_vcs] Error 255 make[2]: Leaving directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' make[1]: [/home/jamesning/work/reggen/rggen-sample-testbench/sim/vcs.mk:64: sim_vcs] Error 2 make[1]: Leaving directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' make: *** [makefile:31: ral_hw_reset_test] Error 2

Would you please tell me how to solve it? Thank you

taichi-ishitani commented 1 year ago

I think you should ask this question Synopsys.

jamesningd commented 1 year ago

Hi Taichi, I found the reason. I thought your VCS is different from mine. My VCS is O-2018.09-SP2. I add "VCS_ARGS += -sverilog" in VCS.mk line 32, then this error is solve.

I thought your makefile is just for some VCS version. For other version, we have to rewrite it.

By the way I still have other error when I use this Makefile

taichi-ishitani commented 1 year ago

The VCS_ARGS is a list of elaboration switches but not compile switches. The -sverilog switch is a compile switch so I think this solution is not a valid solution.

taichi-ishitani commented 1 year ago

I can compile the TB by using VCS/P-2019.06-SP2-2. Following is the whole compile log.

$ cat compile.log
vlogan -full64 -sverilog -timescale=1ns/1ps -ntb_opts uvm-1.2 -l vlogan_uvm.log
                         Chronologic VCS (TM)
      Version P-2019.06-SP2-2_Full64 -- Thu Aug 31 13:08:56 2023
               Copyright (c) 1991-2019 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_version_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_message_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_phase_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_object_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_printer_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_callback_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_reg_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/directc/uvm_directc.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/directc/uvm_seed.vh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/directc/uvm_directc.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_hdl.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_regex.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_coreservice.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_version.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_object_globals.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_misc.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_object.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_pool.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_queue.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_factory.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_registry.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_spell_chkr.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_resource.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_resource_specializations.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_resource_db.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_config_db.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_printer.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_comparer.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_packer.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_links.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_tr_database.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_tr_stream.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_recorder.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_event_callback.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_event.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_barrier.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_callback.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_callback.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_report_message.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_report_catcher.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_report_server.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_report_handler.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_report_object.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_transaction.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_phase.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_domain.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_bottomup_phase.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_topdown_phase.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_task_phase.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_common_phases.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_runtime_phases.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_component.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_root.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_component.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_objection.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_heartbeat.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_globals.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_cmdline_processor.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_traversal.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dap/uvm_dap.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/base/uvm_port_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_imps.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_ports.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_exports.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_pair.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_policies.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_random_stimulus.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_subscriber.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_monitor.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_driver.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_push_driver.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_scoreboard.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_agent.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_env.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_test.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/comps/uvm_comps.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequence_item.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequencer_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequencer.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_push_sequencer.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequence_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequence.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequence_library.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/seq/uvm_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_item.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_adapter.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_predictor.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_sequence.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_cbs.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_field.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_vreg_field.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_indirect.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_fifo.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_file.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_mem_mam.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_vreg.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_mem.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_map.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_block.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing design file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/msglog.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing design file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
CPU time: .912 seconds to compile
make dut.f
make[1]: Entering directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog'
flgen --output=dut.f --define-macro=RGGEN_VERILOG --define-macro=RGGEN_ENABLE_SVA --define-macro=RGGEN_ENABLE_BACKDOOR --define-macro=RGGEN_ENABLE_ENHANCED_RAL /home/ishitani/workspace/rggen-sample-testbench/rtl/compile.rb
make[1]: Leaving directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog'
vlogan -full64   -timescale=1ns/1ps -l vlogan_dut.log -f dut.f
                         Chronologic VCS (TM)
      Version P-2019.06-SP2-2_Full64 -- Thu Aug 31 13:08:57 2023
               Copyright (c) 1991-2019 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_or_reducer.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_mux.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_bit_field.v'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_bit_field.v'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_bit_field_w01trg.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_address_decoder.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_register_common.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_default_register.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_external_register.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_indirect_register.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_adapter_common.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_apb_adapter.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_apb_bridge.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_skid_buffer.v'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_skid_buffer.v'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_adapter.v'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_adapter.v'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_bridge.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_wishbone_adapter.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_wishbone_bridge.v'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/apb/block_0.v'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/rtl/apb/block_0.v'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/apb/block_1.v'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/rtl/apb/block_1.v'.
CPU time: .164 seconds to compile
make env.f
make[1]: Entering directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog'
flgen --output=env.f --define-macro=RGGEN_VERILOG --define-macro=RGGEN_ENABLE_SVA --define-macro=RGGEN_ENABLE_BACKDOOR --define-macro=RGGEN_ENABLE_ENHANCED_RAL /home/ishitani/workspace/rggen-sample-testbench/env/compile.rb
make[1]: Leaving directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog'
vlogan -full64 -sverilog -timescale=1ns/1ps -ntb_opts uvm-1.2 -l vlogan_env.log -f env.f
                         Chronologic VCS (TM)
      Version P-2019.06-SP2-2_Full64 -- Thu Aug 31 13:08:58 2023
               Copyright (c) 1991-2019 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_version_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_message_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_phase_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_object_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_printer_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_callback_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_reg_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'.
Back to file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/macros/tue_version_defines.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/macros/tue_object_defines.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/macros/tue_sequence_defines.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/macros/tue_plusarg_defines.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/macros/tue_misc_defines.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_version.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_globals.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_fifo.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_configuration.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_status.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_check_type.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_object_base.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_component_base.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_component_proxy.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/base/tue_component.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_subscriber.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_item_waiter.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_monitor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_driver.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_scoreboard.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_agent.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_env.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_test.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_sequence_item_base.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_sequence_item.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_sequence_base.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_sequence.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_sequencer.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_sequence_item_dispatcher.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_param_monitor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_param_agent.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_reactive_sequencer.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/seq/tue_reactive_sequence.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_reactive_monitor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/comps/tue_reactive_agent.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg_cbs.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg_block.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg_map.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg_item.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/tue_reg_predictor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_sequence_base.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_hw_reset_seq.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_bit_bash_seq.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_access_seq.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_clock_if.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_clock_if.sv'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_reset_if.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_reset_if.sv'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_types.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_item.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_delay_configuration.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_memory.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_item_waiter.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_if.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_if.sv'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_types.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_configuration.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_status.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_item.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_monitor_base.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_monitor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_sequencer.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_driver.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_agent.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_ral_adapter.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_ral_predictor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_backdoor_if.sv'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_backdoor_pkg.sv'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_backdoor.sv'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_rtl_pkg.sv'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_apb_if.sv'
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_backdoor_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_backdoor_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_utils.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_rowo_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_rwe_rwl_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_w0trg_w1trg_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_row0trg_row1trg_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_custom_field.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_reg.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_reg_file.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_indirect_reg.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_block.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_map.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/ral/block_0_ral_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/block_0_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/block_0_ral_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/ral/block_1_ral_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/block_1_ral_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/ral/block_1_ral_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/env_configuration.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/env_ral_model.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/env_ral_monitor.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/env_sequencer.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/env.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/ral_test.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/env_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/env/apb_env_pkg.sv'
Parsing included file '/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/apb_env_pkg.sv'.
Parsing included file '/home/ishitani/workspace/rggen-sample-testbench/env/tue/src/tue_macros.svh'.
Back to file '/home/ishitani/workspace/rggen-sample-testbench/env/apb_env_pkg.sv'.
Parsing design file '/home/ishitani/workspace/rggen-sample-testbench/env/apb_top.sv'
CPU time: .468 seconds to compile
vcs -full64 -ntb_opts uvm-1.2 -l vcs.log -top top
                         Chronologic VCS (TM)
      Version P-2019.06-SP2-2_Full64 -- Thu Aug 31 13:08:59 2023
               Copyright (c) 1991-2019 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Top Level Modules:
       top
TimeScale is 1 ns / 1 ps
Notice: Ports coerced to inout, use -notice for details
Starting vcs inline pass...

25 modules and 0 UDP read.
recompiling package vcs_paramclassrepository
recompiling package uvm_pkg
recompiling package tue_pkg
recompiling package tvip_apb_pkg
recompiling package rggen_backdoor_pkg
recompiling package rggen_ral_backdoor_pkg
recompiling package rggen_ral_pkg
recompiling package block_0_ral_pkg
recompiling package block_1_ral_pkg
recompiling package env_pkg
recompiling package apb_env_pkg
recompiling module top
recompiling package _vcs_DPI_package
recompiling module tvip_apb_if
recompiling module rggen_backdoor_if
recompiling module rggen_apb_if
recompiling module block_0
recompiling module rggen_apb_bridge
recompiling module block_1
recompiling module rggen_default_register
recompiling module rggen_register_common
recompiling module rggen_backdoor
recompiling module rggen_bit_field
recompiling module rggen_indirect_register
recompiling module rggen_external_register
All of 25 modules done
make[1]: Entering directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog/csrc'
make[1]: Leaving directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog/csrc'
make[1]: Entering directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog/csrc'
rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
g++ -w  -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/include    -c /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/etc/uvm-1.2/dpi/uvm_dpi.cc
if [ -x ../simv ]; then chmod a-x ../simv; fi
g++  -o ../simv    -rdynamic -Wl,-rpath='$ORIGIN'/simv.daidir -Wl,-rpath=./simv.daidir -Wl,-rpath=/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib -L/storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib -Wl,-rpath-link=./  /usr/lib64/libnuma.so.1 /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib/vpdlogstub.o uvm_dpi.o   objs/amcQw_d.o   _337844_archive_1.so  SIM_l.o      rmapats_mop.o rmapats.o rmar.o rmar_nd.o  rmar_llvm_0_1.o rmar_llvm_0_0.o          -lerrorinf -lsnpsmalloc -lvfs    -lvcsnew -lsimprofile -lvirsim -luclinative /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib/vcs_tls.o   -Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive       ./../simv.daidir/vc_hdrs.o    /storage/eda/tools/synopsys/VCS/P-2019.06-SP2-2/linux64/lib/vcs_save_restore_new.o -ldl  -lc -lm -lpthread -ldl
../simv up to date
make[1]: Leaving directory `/home/ishitani/workspace/rggen-sample-testbench/sim/apb/verilog/csrc'
CPU time: 9.364 seconds to compile + .417 seconds to elab + .443 seconds to link
jamesningd commented 1 year ago

Maybe the problem is from VCS, I only have vcs but don't have vcs-mx.

When run your make without any modification, the log is as following:

make sim_vcs TEST=ral_hw_reset_test make[1]: Entering directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' [ -f simv ] || make compile_vcs make[2]: Entering directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' vlogan -full64 -sverilog -timescale=1ns/1ps -ntb_opts uvm-1.2 -l vlogan_uvm.log

Warning-[MXIR-W] VCS-MX build is required Please make sure that vlogan is from the intended build.

                     Chronologic VCS (TM)
   Version O-2018.09-SP2_Full64 -- Thu Aug 31 19:24:48 2023
           Copyright (c) 1991-2018 by Synopsys Inc.
                     ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.

Parsing design file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/directc/uvm_directc.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/directc/uvm_seed.vh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/directc/uvm_directc.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. Parsing design file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. Parsing design file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. CPU time: 1.454 seconds to compile make dut.f make[3]: Entering directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' flgen --output=dut.f --define-macro=RGGEN_VERILOG --define-macro=RGGEN_ENABLE_SVA --define-macro=RGGEN_ENABLE_BACKDOOR --define-macro=RGGEN_ENABLE_ENHANCED_RAL /home/jamesning/work/reggen/rggen-sample-testbench/rtl/compile.rb make[3]: Leaving directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' vlogan -full64 -timescale=1ns/1ps -l vlogan_dut.log -f dut.f

Warning-[MXIR-W] VCS-MX build is required Please make sure that vlogan is from the intended build.

                     Chronologic VCS (TM)
   Version O-2018.09-SP2_Full64 -- Thu Aug 31 19:24:50 2023
           Copyright (c) 1991-2018 by Synopsys Inc.
                     ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.

Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_or_reducer.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_mux.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_bit_field.v' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_bit_field.v'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_bit_field_w01trg.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_address_decoder.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_register_common.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_default_register.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_external_register.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_indirect_register.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_adapter_common.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_apb_adapter.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_apb_bridge.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_skid_buffer.v' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_skid_buffer.v'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_adapter.v' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_adapter.v'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_axi4lite_bridge.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_wishbone_adapter.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_wishbone_bridge.v' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/apb/block_0.v' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/apb/block_0.v'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/apb/block_1.v' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-verilog-rtl/rggen_rtl_macros.vh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/apb/block_1.v'. CPU time: .212 seconds to compile make env.f make[3]: Entering directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' flgen --output=env.f --define-macro=RGGEN_VERILOG --define-macro=RGGEN_ENABLE_SVA --define-macro=RGGEN_ENABLE_BACKDOOR --define-macro=RGGEN_ENABLE_ENHANCED_RAL /home/jamesning/work/reggen/rggen-sample-testbench/env/compile.rb make[3]: Leaving directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' vlogan -full64 -sverilog -timescale=1ns/1ps -ntb_opts uvm-1.2 -l vlogan_env.log -f env.f

Warning-[MXIR-W] VCS-MX build is required Please make sure that vlogan is from the intended build.

                     Chronologic VCS (TM)
   Version O-2018.09-SP2_Full64 -- Thu Aug 31 19:24:51 2023
           Copyright (c) 1991-2018 by Synopsys Inc.
                     ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.

Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/macros/tue_version_defines.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/macros/tue_object_defines.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/macros/tue_sequence_defines.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/macros/tue_plusarg_defines.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/macros/tue_misc_defines.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_version.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_globals.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_fifo.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_configuration.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_status.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_check_type.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_object_base.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_component_base.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_component_proxy.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/base/tue_component.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_subscriber.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_item_waiter.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_monitor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_driver.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_scoreboard.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_agent.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_env.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_test.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_sequence_item_base.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_sequence_item.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_sequence_base.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_sequence.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_sequencer.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_sequence_item_dispatcher.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_param_monitor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_param_agent.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_reactive_sequencer.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/seq/tue_reactive_sequence.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_reactive_monitor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/comps/tue_reactive_agent.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg_cbs.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg_block.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg_map.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg_item.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/tue_reg_predictor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_sequence_base.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_hw_reset_seq.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_bit_bash_seq.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/reg/sequences/tue_reg_access_seq.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_clock_if.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_clock_if.sv'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_reset_if.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_reset_if.sv'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_types.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_item.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_delay_configuration.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_memory.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_item_waiter.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-common/src/tvip_common_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv' Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_if.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_if.sv'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_types.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_configuration.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_status.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_item.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_monitor_base.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_monitor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_sequencer.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_driver.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_agent.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_ral_adapter.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_master_ral_predictor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tvip-apb/src/tvip_apb_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_backdoor_if.sv' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_backdoor_pkg.sv' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_backdoor.sv' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_rtl_pkg.sv' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/rtl/rggen-sv-rtl/rggen_apb_if.sv' Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_backdoor_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_backdoor_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_utils.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_rowo_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_rwe_rwl_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_w0trg_w1trg_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_row0trg_row1trg_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_custom_field.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_reg.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_reg_file.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_indirect_reg.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_block.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_map.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/block_0_ral_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/block_0_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/block_0_ral_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/block_1_ral_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/block_1_ral_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/block_1_ral_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/ral/rggen-sv-ral/rggen_ral_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_configuration.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_ral_model.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_ral_monitor.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_sequencer.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/ral_test.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/env_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/env/apb_env_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/apb_env_pkg.sv'. Parsing included file '/home/jamesning/work/reggen/rggen-sample-testbench/env/tue/src/tue_macros.svh'. Back to file '/home/jamesning/work/reggen/rggen-sample-testbench/env/apb_env_pkg.sv'. Parsing design file '/home/jamesning/work/reggen/rggen-sample-testbench/env/apb_top.sv' CPU time: .877 seconds to compile vcs -full64 -ntb_opts uvm-1.2 -l vcs.log -top top Chronologic VCS (TM) Version O-2018.09-SP2_Full64 -- Thu Aug 31 19:24:52 2023 Copyright (c) 1991-2018 by Synopsys Inc. ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc. and may be used and disclosed only as authorized in a license agreement controlling such use and disclosure.

Parsing design file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv' Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Parsing included file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. Back to file '/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.

Error-[SE] Syntax error Following verilog source has syntax error : "/usr/synopsys/vcs2018.09/vcs/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv", 32: token is ';' package uvm_pkg; ^

1 error CPU time: .162 seconds to compile make[2]: Leaving directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog' make[1]: Leaving directory '/home/jamesning/work/reggen/rggen-sample-testbench/sim/apb/verilog'

taichi-ishitani commented 1 year ago

This TB also supports VHDL so VSC MX is required. To run the TB on your side, you need to create your own execution script.

jamesningd commented 1 year ago

Hi Taichi, I have modified the file vcs.mk script and it can work with VCS O-2018.09-SP2 version without vcs-mx. I haven't tried it with VHDL yet. The script is as follwing, show you for reference.

CLEAN_TARGETS   += simv*
CLEAN_TARGETS   += AN.DB
CLEAN_TARGETS   += csrc
CLEAN_TARGETS   += 64
CLEAN_TARGETS   += work.lib++
CLEAN_TARGETS   += vc_hdrs.h

VLOGAN_UVM_ARGS += -full64
VLOGAN_UVM_ARGS += -sverilog
VLOGAN_UVM_ARGS += -timescale=1ns/1ps
VLOGAN_UVM_ARGS += -ntb_opts uvm-1.2
VLOGAN_UVM_ARGS += -l vlogan_uvm.log

VLOGAN_DUT_ARGS += -full64
VLOGAN_DUT_ARGS += $(if $(findstring systemverilog, $(LANGUAGE)), -sverilog, )
VLOGAN_DUT_ARGS += -timescale=1ns/1ps
VLOGAN_DUT_ARGS += -l vlogan_dut.log
VLOGAN_DUT_ARGS += -f dut.f

VHDLAN_DUT_ARGS += -full64
VHDLAN_DUT_ARGS += -l vhdlan_dut.log
VHDLAN_DUT_ARGS += -f dut.f

VLOGAN_ENV_ARGS += -full64 -LDFLAGS -Wl,--no-as-needed
VLOGAN_ENV_ARGS += -sverilog
VLOGAN_ENV_ARGS += -timescale=1ns/1ps
VLOGAN_ENV_ARGS += -ntb_opts uvm-1.2
VLOGAN_ENV_ARGS += -l vlogan_env.log
VLOGAN_ENV_ARGS += -f dut.f
VLOGAN_ENV_ARGS += -f env.f

VCS_ARGS    += -full64
VCS_ARGS    += -sverilog
VCS_ARGS    += -ntb_opts uvm-1.2
VCS_ARGS    += -l vcs.log
VCS_ARGS    += -timescale=1ns/1ps
VCS_ARGS    += -full64 -LDFLAGS -Wl,--no-as-needed
VCS_ARGS += -f dut.f
VCS_ARGS += -f env.f
#VCS_ARGS   += -top top

SIMV_ARGS   += -l simv.log
SIMV_ARGS   += +UVM_TESTNAME=$(TEST)

ifeq ($(strip $(GUI)), verdi)
    VLOGAN_UVM_ARGS += -kdb
    VLOGAN_DUT_ARGS += -kdb
    VHDLAN_DUT_ARGS += -kdb
    VLOGAN_ENV_ARGS += -kdb
    VCS_ARGS    += -debug_access+all
    VCS_ARGS    += -kdb
    VCS_ARGS    += +vcs+fsdbon
    SIMV_ARGS   += -gui=verdi
    SIMV_ARGS   += +UVM_VERDI_TRACE=RAL
    ifeq ($(strip $(TR_DEBUG)), on)
        SIMV_ARGS   += +UVM_VERDI_TRACE
        SIMV_ARGS   += +UVM_TR_RECORD
    endif
endif

ifeq ($(strip $(GUI)), dve)
    VCS_ARGS    += -debug_access+all
    VCS_ARGS    += +vcs+vcdpluson
    SIMV_ARGS   += -gui=dve
endif

.PHONY: sim_vcs compile_vcs

sim_vcs:
    [ -f simv ] || $(MAKE) compile_vcs
    [ -d $(TEST) ] || mkdir $(TEST)
    cd $(TEST); ../simv $(SIMV_ARGS)

compile_vcs:
    vlogan $(VLOGAN_UVM_ARGS)
ifeq ($(strip $(LANGUAGE)), vhdl)
    $(MAKE) dut_vhdl.f
    vhdlan $(VHDLAN_DUT_ARGS)
else
    $(MAKE) dut.f
    vlogan $(VLOGAN_DUT_ARGS)
endif
    $(MAKE) env.f
    vcs $(VLOGAN_ENV_ARGS)
    vcs $(VCS_ARGS)