sipeed / TangNano-9K-example

TangNano-9K-example project
211 stars 66 forks source link

Fix for issue #19, "Picotiny example fails during Place & Route" #22

Closed dashxdr closed 4 months ago

dashxdr commented 8 months ago

ERROR (PA2122) : Not support 'mem_mem_0_0_s'(DPB) WRITE_MODE0 = 2'b10, please change write mode WRITE_MODE0 = 2'b00 or 2'b01.

The change to the json files brings their format up to them up to version V1.9.9 build (69780) of the GOWIN FPGA Designer IDE, and fixes an issue where pins 59, 60, 61 and 62 were locked to the MSPI function. The Project->Configuration menu needed to be selected from the menu, and the "Place & Route" / "Dual-Purpose Pin" tab needed to be selected, and then the "Use MSPI as regular IO" checkbox needed to be checked.

Krasnomakov commented 4 months ago

How to fix this issue locally? When I change it in picotiny.vg it again returns to the same value 'b10' which is unsupported.