sneakypete81 / atom-vhdl-entity-converter

Convert a VHDL entity into a component, instance or signal definitions, using the clipboard.
https://atom.io/packages/vhdl-entity-converter
GNU General Public License v3.0
8 stars 7 forks source link