tonalmathew / hello-world

https://hello-world.tonalmathew.vercel.app/
7 stars 35 forks source link
hacktoberfest hacktoberfest-accepted hello-world

"Hello, World" in different languages ✨

View contributors.md file before contributing.


WRITE 'Hello, world!'.


WRITE 'Hello, world!'


import flash.display.Sprite;

public class Main extends Sprite {

    public function Main() {
        super();

        trace("Hello, World!");
    }

}


with Ada.Text_IO;

procedure Hello is
begin
   Ada.Text_IO.Put_Line("Hello, World!");
end Hello;


BEGIN DISPLAY("Hello, World!") END.


  global  _main
    extern  _printf

    section .text
_main:
    push    message
    call    _printf
    add     esp, 4
    ret
message:
    db  'Hello, World!', 10, 0


main()
{
  putstr("Hello, World!*n");
  return(0);
}


echo "Hello, World!"


PRINT "Hello, World!"

>++++++++[<+++++++++>-]<.>++++[<+++++++>-]<+.+++++++..+++.>>++++++[<+++++++>-]<+
+.------------.>++++++[<+++++++++>-]<+.<.+++.------.--------.>>>++++[<++++++++>-
]<+.

#include <stdio.h>
void main() {
   printf("Hello, World!");
}

#include <iostream>
using namespace std;
void main()
{
    cout<<"Hello, World!";

}

using System;
class Program
{
    public static void Main(string[] args)
    {
        Console.WriteLine("Hello, World!");
    }
}


? "Hello, World!"


program-id. hello.
    procedure division.
        display "Hello, World!".
        stop run.


class Hello

    def main
        print 'Hello, World!'

console.log ‘Hello, World!’


void hello() {
    print("Hello, World!");
}


void main() {
  print('Hello, World!');
}


program HelloWorld;
begin
  Writeln('Hello, World!');
end.


import django.http from HttpResponse

def print(request):
      return HttpResponse("Hello, World!")


class
    HELLO_WORLD
create
    make
feature
    make
        do
            print ("Hello world!%N")
        end
end


PROGRAM Hello
WRITE (*,*) 'Hello, World!'
STOP
END


printfn "Hello, World!"

package main
import "fmt"
func main() {
    fmt.Println("Hello, World!")
}

main = putStrLn "Hello, World!"


<h1>Hello, World!<h1>

console.log("Hello, World!");

class helloWorld {
public static void main() {
System.out.println('Hello, World!')
 }
}

println(“Hello, World!”)


$("body").append("Hello, World!");

fun main() {
    println("Hello, World!")
}


(print "Hello, World")



print("Hello, World!")

disp('Hello, World!')

console.log('Hello, World!');


#import <Foundation/Foundation.h>

int main(int argc, const char * argv[]) {
    @autoreleasepool {
        NSLog(@"Hello, World!");
    }
    return 0;
}

Program HelloWorld(output);
begin
  writeln('Hello, World!');
end.

print "Hello, World!";

<?php
  echo 'Hello, World!';
?>


BEGIN
dbms_output.put_line (‘Hello, World!');
END;


Write-Host 'Hello, World!'

void setup(){
  println("Hello, world!");
}

main:-
        process,
        halt.
process:-
        write('Hello, World!').
:- main.


print("Hello, World!")

puts "Hello, World!"

fn main() {
    println!("Hello, World!");
}

object Solution
{
  def main(args: Array[String])
    {
      println("Hello, World!")
    }
}


Transcript show: 'Hello, world!'; cr.

import swift
print("Hello, World!")


module HelloWorld;
    initial begin
        $display("Hello, World!");
    end
endmodule


console.log("Hello, World!")


Public Sub Main()
    Debug.Print "Hello, World!"
End Sub


Imports System
Module Module1
   Sub Main()
      Console.WriteLine("Hello, World!")
      Console.ReadKey()
   End Sub
End Module


Hello, world!


IO.puts("Hello, World!")