xlsynth / bedrock-rtl

High quality and composable base RTL libraries in Verilog
Apache License 2.0
7 stars 1 forks source link

Guard assert macros with `SV_ASSERT_ON` #10

Closed mgottscho closed 1 month ago

mgottscho commented 1 month ago

Not all tools support SystemVerilog Assertions. It can also be useful to globally disable them. Do this by guarding with SV_ASSERT_ON.