xlsynth / bedrock-rtl

High quality and composable base RTL libraries in Verilog
Apache License 2.0
7 stars 1 forks source link

A script to generate a filelist for any bazel target #43

Closed hamid-chipstack closed 2 weeks ago

hamid-chipstack commented 2 weeks ago

Script to generate a list of source files (.sv and .svh)

Usage:

   ./generate_filelist.sh <target> [output_path]

Arguments:

Output:

Generates a filelist with the name filelist_paths_<sanitized_target>.f
containing a list of paths to the source files (.sv and .svh) related to the given target.
The file starts with +incdir+./macros and includes ./ for each file.

Examples:

  1. Default behavior (current directory):

    ./generate_filelist.sh //arb/rtl:br_arb_fixed_elab_test

    Output file: ./filelist_paths_arb_rtl_br_arb_fixed_elab_test.f

  2. Custom output path:

    ./generate_filelist.sh //arb/rtl:br_arb_fixed_elab_test /path/to/output

    Output file: /path/to/output/filelist_paths_arb_rtl_br_arb_fixed_elab_test.f

mgottscho commented 2 weeks ago

agh, can't merge since you @hamid-chipstack didn't sign commits. i guess i can turn it off..