-
With the latest database from conda, the daisho-usb3 design fails in symbiflow with the following error:
```
prjxray.fasm_assembler.FasmInconsistentBits: FASM line "CMT_TOP_R_UPPER_T_X8Y200.PLL_CL…
-
Currently cmake complains heavily during the build, figure out what needs to be done to fix the issues;
```
CMake Warning (dev) at /usr/local/share/cmake-3.19/Modules/ExternalProject.cmake:2143 (mes…
-
For example:
```
yosys-f4pga-plugins/xdc-plugin/Makefile: install -D $< $(YOSYS_PLUGINS_DIR)/fasm_extra_modules/$<
```
should be
```
yosys-f4pga-plugins/xdc-plugin/Makefile: install -D $< $(DE…
-
Before
```
03:04:13 | cd /root/work/xc7/counter_test/build/zybo && symbiflow_write_bitstream -d zynq7 -f top.fasm -p xc7z010clg400-1 -b top.bit
03:04:13 | Writing bitstream ...
03:04:15 | /roo…
-
After running [BUFGMUX example](https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1419) on [Nexys Video with limited grid](https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1505) I obtained th…
-
Below error needs to be fixed for successful launch of baselitex-nexys-video with VPR:
```
File "/fpga-tool-perf/env/conda/envs/symbiflow-env/lib/python3.8/site-packages/prjxray/fasm_assembler.py"…
-
```
I don't know if it is possible, but , anyway, I haven't found way to do this.
I have compiled my program with fasm that way:
'fasm anyname.asm -s anyname.map', which give me two files:
'anyname' -…
-
```
I don't know if it is possible, but , anyway, I haven't found way to do this.
I have compiled my program with fasm that way:
'fasm anyname.asm -s anyname.map', which give me two files:
'anyname' -…
-
```
I don't know if it is possible, but , anyway, I haven't found way to do this.
I have compiled my program with fasm that way:
'fasm anyname.asm -s anyname.map', which give me two files:
'anyname' -…
-
https://symbiflow.github.io/fpga-tool-perf/?elem_id=hps-accel-gen1-NEXUS-LIFCL-17-8UWG72C-stats&device=NEXUS-LIFCL-17-8UWG72C&project=hps-accel-gen1
The "fasm runtime" graph doesn't make sense to m…