-
`alias` constructs are currently not parsed.
Task:
update grammar and tests:
https://github.com/google/verible/blob/master/verilog/parser/verilog.y
https://github.com/google/verible/blob/master/…
-
verilog_obfuscate does not recognise system functions such as $signed and $clog2. If you have such in your code you can apply this temporary workaround:
verilator -E unobfusc.sv | grep -v '^`li…
jrrk2 updated
4 years ago
-
The logic in the handling of else-if partitions is buggy.
This test case:
```
function foo;if (zz) return 0;else if (yy) return 1;endfunction
```
is triggering AddressSanitizer bugs here:
…
-
I did a quick test of the verilog formatter. I fed it the attached file (from the OpenSPARCT2 project I often use as a guinea pig) and the formatter was using more and more memory until I killed it u…
-
Verible's parser currently rejects the following:
```systemverilog
module foo(
`include "generated_ports.svh"
);
endmodule
```
-
Task: Add a `--lines` command-line flag that specifies which lines of text to format. All other unspecified lines will be left untouched.
e.g. `verilog_format --lines 1-5,40-49` or `--lines 1-5 --li…
-
We are going to change the content of the object model on a daily basis. We cann have tests that need updating every time we support.
I am not talking about the model changing here, but simply when w…
-
Look at this code snippet:
```verilog
`ifdef SRAM_INIT_FILE
localparam MEM_FILE = `"`SRAM_INIT_FILE`";
initial begin
$display("Initializing SRAM from %s", MEM_FILE);
$rea…
-
I tried to build on RHEL/CentOS 7 environment, but the build was failed.
The environment can reproduce by the following Dockerfile.
```Dockerfile
FROM centos:7
ADD https://copr.fedorainfraclou…
-
I get the following message from lint:
```
ibex_cs_registers.sv:845:101: Line length exceeds max: [Style: line-length] [line-length]
```
This message would be better if it included the max li…