-
## Result from CocInfo
## versions
vim version: VIM - Vi IMproved 8.2 8023458
node version: v16.10.0
coc.nvim version: 0.0.80-03c9add7cd
coc.nvim directory: /home/banana/.vim/plugged/coc.nvim…
-
```
module m(y, a);
output logic [7:0] y;
input wire [39:0] a;
logic [3:0][9:0] t;
assign t = a; // 4x10b packed
assign y = t[2][9 -:8]; // ivl failure
// assign y = t[2…
-
The version on the dashboard for Verilator seems very old. This doesn't seem to correspond with either the pass/fails which suggest more recent (ignoring #1207 false fails), or version in the third_p…
-
Hi,
I am getting below error if I declare a void function (that gets called under another function).
Run as:
> iverilog -DVW_IVLOG_GO2UVM -DBUG -DIVL_UVM -g2012 -I../ -o vw_ivl_go2uvm.vvp -f …
-
Hi,
I tried below case :
module test;
reg a[];
reg b[];
initial begin
b = new[3];
end
endmodule
it will crash:
ivl: eval_object.c:34: darray_new: Assertion `ivl_type_packed_dimensions(ele…
-
Unusual behavior when using modulo with $random().
changing `$random()%32;` to `$random()%'d32;` fixes the issue, but obviously this can be a source of great confusion when it comes time to debug thi…
Mop-u updated
3 years ago
-
Hello,
trying to install xeus-cling on Windows from mamba-forge channel.
It crashes after download, I had seen similar crashes when cygwin/msys compiler is not able to load a DLL/trying to load …
-
Hi,
Using iverilog 12.0 devel, latest build. If I extend a class from one package in another I get a crash. Code and log below. If I move them to the same package it works.
Thanks
Srini
```…
-
I've been trying it out in a fresh Termux, so I'll start. I'll note that there's at least three tiers of dependencies, with the bottom tier made up of some of the packages that are installed in [the n…
-
```log
iverilog 12.0 devel version
bb.sv:10: error: Enable of unknown task ``__current_scopes.pop_back''.
```
Code
```verilog
module m;
int __current_scopes [$];
int a;
initial …