-
Hello,
I'm going crazy.......
I have a problem. rising_edge() does not work with GHDL.
In this code ```r0_start``` is '0'.
```
library IEEE;
use IEEE.std_logic_1164.ALL;
use ieee.numeri…
-
Hi,
How can I display string variable in Impulse of an FST file?
I tried to open an FST file that contains a string variables, but there is no value in the viewer.
Thanx
-
It would be nice if it was possible to start a simulation and pass along a (pre defined) waveform set () flaga and attritbue to modelsim, like:
python .py --wave-gen
This would make modelsim gener…
-
The attribute `'delayed()` has a rejection limit greater than 0 fs.
According to LRM "16.2.4 Predefined attributes of signals", the attribute usage `S'delayed(T)` is transformed to an equivalent proc…
-
Hi Thomas,
I noticed that when I have a '@' in the name of signal, Impulse changed it with an underscore _ .
So when I have two signals with 2 names that differs only by the '@' and the _ , Impul…
-
Wondering if it is ghdl or gtkwave (or me)
who gets the string wrong in my small test case.?
[test_string.txt](https://github.com/tgingold/ghdl/files/1085548/test_string.txt)
```
-- VHDL source…
-
Hi,
I'm using ghdl, build from git
commit 9ebac9a4cf1dce154a1fb7eecd75ccad189b3424
Date: Fri Jul 1 18:47:13 2016 +0200
build with gcc backend.
I generate ghw wave files and inspect them with …
-
Using GHDL (01/03/2017) version, and running the UVVM/IRQC module failed to deliver a .ghw file.
I tried and isolated the problem creating a 4 file testbench.
See: [TestGHDL_SBI.zip](https://githu…
-
The `configure` script aborts execution with this error message:
```Bash
$ ../../configure
Cannot compute absolute source dir (or incorrect srcdir)
srcdir=../..
abs_srcdir=/c/git/GitHub/Paebbel…
-
The following command misses the last signal change of `b` at _26 ns_ from _7_ to _8_
ghdl -r main --vcd=main.vcd --stop-time=40ns
The source code is as follows
```
library IEEE;
use IE…