issues
search
VUnit
/
vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
http://vunit.github.io/
Other
696
stars
250
forks
source link
issues
Newest
Newest
Most commented
Recently updated
Oldest
Least commented
Least recently updated
NVC performance with Vunit
#1036
Blebowski
opened
3 days ago
6
Fixes #1026.
#1035
LarsAsplund
closed
3 days ago
0
Updated black job to show formatting violations.
#1034
LarsAsplund
closed
6 days ago
0
Add vunit_tb_name tcl variable and vunit_tb_path for Active-HDL
#1033
maleiter
closed
6 days ago
2
Add VUnit TCL commands to Active-HDL
#1032
LarsAsplund
closed
1 week ago
0
[Possible Bug/Clarification] package xpm.vcomponents is not found
#1031
nselvara
closed
6 days ago
3
[Feature] Make `check_equal` a generic procedure
#1030
nselvara
opened
1 week ago
4
[Question] ModelSim reports an error regarding VHDL-2019 package
#1029
nselvara
closed
1 week ago
3
[Clarification] Pass multiple `wave.do` scripts
#1028
nselvara
closed
2 days ago
4
Removed Questasim compilation warning. Fixes #981. (2)
#1027
rafaelnp
closed
2 weeks ago
1
No warning of erroneous architecture when adding configurations
#1026
sigmuha
closed
3 days ago
3
Enchance time reporting in report.py and runner.py
#1025
tossegus
closed
2 weeks ago
6
modelsim ini options for GUI mode
#1024
alexrayne
opened
4 weeks ago
0
allow use modelsim predefined external libs
#1023
alexrayne
opened
4 weeks ago
0
Updates to pass latest version of pylint
#1022
LarsAsplund
closed
1 month ago
0
Issue with using encrypted Vivado IP in Vunit
#1021
AN-SEOKHYEN
closed
1 month ago
3
Check 4kByte boundary from aligned address
#1020
ru551n
closed
4 weeks ago
3
Unaligned access to AXI read slave yields incorrect data
#1019
ru551n
opened
1 month ago
4
Unaligned accesses to AXI read and write slaves wrongly fail 4kbyte boundary check
#1018
ru551n
closed
4 weeks ago
0
Add axi master
#1017
DavidMartinPhios
opened
1 month ago
8
GHDL mcode regex not detecting properly
#1016
avivi55
closed
1 month ago
0
Add option for disabling NVC `--jit`
#1015
albydnc
closed
1 week ago
4
Remove forced `--jit` from NVC
#1014
albydnc
closed
1 month ago
1
Is there a way to specify minimum cycles between stalls for AXI stream VC?
#1013
cjmeyer
opened
1 month ago
1
Question about "blocking" specifically around the bus_master VCI
#1012
nathanaelhuffman
opened
1 month ago
1
Indexing order for 3D `integer_array_t` doesn't make sense
#1011
bradleyharden
opened
2 months ago
0
How to specify test case(s) to run after creating a VUnit instance?
#1010
cjmeyer
opened
2 months ago
2
VUnit testcases fail if the testcase name contains a colon (SystemVerilog)
#1009
PossenigM
opened
2 months ago
2
VUnit testcases fail if the last character in a testcase name is a whitespace (VHDL)
#1008
PossenigM
opened
2 months ago
0
Add ghdl jit coverage support
#1007
tgingold
closed
3 months ago
1
Force stop simulation
#1006
nselvara
opened
3 months ago
4
Add GHDL backend detection support for mcode JIT
#1005
LukasVik
closed
3 months ago
2
Add note for #967
#1004
oscargus
closed
3 months ago
0
Support for CI (reruns) with waveform output
#1003
oscargus
opened
3 months ago
3
Support arbitrary waveform viewer
#1002
oscargus
opened
3 months ago
3
Add news fragment
#1001
awillenbuecher-xq-tec
closed
3 months ago
1
Add news fragments
#1000
LukasVik
closed
3 months ago
0
Feature Request: real_array_t similar to integer_array_t
#999
abaebae
opened
3 months ago
3
docs/news: add fragment for #909
#998
abaebae
closed
3 months ago
0
News fragments of the contributions/modifications done in the last 7 months
#997
umarcor
opened
3 months ago
1
ModelSim/QuestaSim issues warning regarding `ext_string_pkg`
#996
nselvara
closed
3 months ago
0
Remove redundant directory from Riviera-PRO dataset path
#995
por3bski
opened
3 months ago
1
Drive invalid in AXI VCs
#994
LukasVik
closed
3 months ago
4
ModelSim issues warning regarding NULL array in id_pkg
#993
nselvara
closed
3 months ago
0
Minimize imports in an effort to lower simulation setup time
#992
LukasVik
closed
3 months ago
4
Add py.typed
#991
LukasVik
closed
3 months ago
5
Fix regex for VHDL component instantiations
#990
javValverde
opened
4 months ago
0
check_equal support for fixed_pkg resolve #909
#989
abaebae
closed
3 months ago
4
GHDL wrong path for stimuli
#988
albydnc
opened
4 months ago
0
Help with attribute
#987
bryankerr1986
closed
3 months ago
1
Next