-
When starting Viscera Cleanup Detail, at step 1 of 4(or 6) ('installing: Epic Redist Package), I get a popup for Microsoft .NET installation that seems to start fine but eventually freezes at:
'Down…
-
Took a clean clone of the repo. Followed the quickstart guide to get going. Unfortunately the verification of the picorv32 results in two failed checks; csrw_mcycle_ch0 & csrw_minstret_ch0. While the …
-
As long as you using `*govcd.VCDClient` for a long time, its auth expires in accordance with auth timeout settings in vcd.
State now: handle 401 error and reauth, after this repeat request. And yo…
-
I found this in a bigger design, but I have reduced it down to this. This may or may not be related to these issues, #3239 and #2982
When I have a array input, `input wire [7:0] rgb [2:0]`, cocotb …
-
Hi! A few months ago you asked me for a wishlist for fastwave features, and I kind of forgot about it :sweat:
Anyway, after having to deal with gtkwave today, I was reminded that something nicer w…
-
As explained in this [issue](https://github.com/ValveSoftware/Source-1-Games/issues/3317), Source SDK Base 2006 is meant to keep compatibility with older Source mods using either Half-Life 2 / Episode…
-
**Description**
GHDL crashes without clear information about what when wrong:
```
$ ghdl -r --work=top -fsynopsys --std=08 -frelaxed top --wave=sim.ghw
******************** GHDL Bug occurred *…
-
I had one more error in my conversion of a MyHDL module. I wrote a small test-module to isolate it, and found a work-around, but the same issue also appeared in another place.
This code:
``` python
'…
josyb updated
7 years ago
-
```
What steps will reproduce the problem?
1.Copy NAND folders to usb drive
2.Change kernel.bin in sneek folder on usb and sd to a renamed boot_usb.bin
3. Run bootmii
What is the expected output?
Nan…
-
Hi Greg, do you have a release out yet to support a 2007 VW GTI cluster? I was unable to read the SKC in my 2007 GTI.