-
This is intended as an anchor issue for making machinekit work on Zynq boards, including the mesanet firmware in the FPGA. Let's collect Zynq-related discussion here.
-
Hi All,
I am using Vivado IP and vunit and there is an error which says that unknown extension file. any idea?
It is a FIR filter and it has many files which seems are not known for Vunit.
"
Trace…
-
I've been thinking long about having some multiplatform (windows and gnu/linux), free (libre), lightweight and standalone tool to analize large bodies of VHDL 2008 code at block/RTL level. That tool w…
-
I've been testing colorized VUnit logging so that the output log shows different colors depending on the severity level. The goal is to make easier to spot interesting stuff on large logs.
Below is a…
suoto updated
6 years ago
-
I was updating my commercial VHDL simulator installations, as I noticed that OSVVM is shipped in source and pre-compiled in Riviera-PRO, Active-HDL, ModelSim and QuestaSim.
Should GHDL do the same?…
-
R hw_snap_mmio_read32(0xc7e510, f000, 1) -1
R hw_snap_mmio_read32(0xc7e510, f000, 1) -1
R hw_snap_mmio_read32(0xc7e510, f000, 1) -1
R hw_snap_mmio_read32(0xc7e510, f000, 1) -1
R hw_snap_…
-
Hi all,
I am trying to use VUNIT aling with XILINX IP cores. But I could not run the IP example. it returns various error which after spending lots of time I have not been able to fix it. does anybod…
-
I've been able to run the other samples, but the Googlenet is failing:
```
614610.00ns MSEQ: ERROR: Read to address 0xffff0003 did not return the expected value 0xffffffff after 50000 attempts (last…
-
Hello,
Circa 6 month ago I wrote such a question in a polite way as an email directly to the authors. They responded and promised to be more active in Cocotb again.
We have seen circa 5 merged p…
-
Hi,
I encountered a problem when using the [parameters] section of fusesoc together with ISE:
fussoc parameters of type "file" or "str" passed to the -generics option of xst must be quoted with …