-
class TestModule extends Module {
val io = IO(new Bundle {
val in = Input(UInt(10.W))
val bit = Input(Bool())
val out = Output(UInt(10.W))
})
io.out(0) := io.bit
}
Please m…
-
package.json needs
"resolutions": {
"sanitize.css": "12.0.1"
},
-
So the standard library we currently have (chisel3.utils) is kind of haphazard, incomplete, and in some cases, inelegant and confusing (I'm looking at you, Counter...)
This issue is just to start t…
-
Configurable Generators
=======================
At the moment all the parameters for a 'generator' must be fully
specified in the core file with the corresponding 'generate' (ttctg).
It would …
-
Magma is looking to add support for the notion of a Bit Pattern ala chisel (see https://github.com/ucb-bar/riscv-mini/blob/release/src/main/scala/Instructions.scala#L8-L71 for an example).
This wou…
-
I pulled a blueprint out of a chest that was made a couple mod versions ago, and my game immediately crashed.
While my client did crash, the server seems to still be running.
The blueprint was l…
-
A key part of a language ecosystem is a well thought out package manager and module system so let's build one for Filament! There is a couple of key challenges with designing something like this for F…
-
This sample code is greatly chopped down from the actual reconfigurable logic design the bug was found in.
This bug is a showstopper for reconfigurable logic designs of anything but trivial complexit…
-
**Type of issue**: feature request
**Impact**: API modification
**Development Phase**: request
Chisel 6 has supports a lot of new feature that is necessary for better Verilog
emission(`typ…
-
**Type of issue**: Feature Request
**Is your feature request related to a problem? Please describe.**
## Background: `Chisel._` vs `chisel3._` directionality
In `Chisel._`, `IO` has an im…